XYZ軟體急先鋒

Aldec Active HDL v6.3 英文光碟正式版 (開放型仿真工具)


-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=
軟體名稱:Aldec Active HDL v6.3 英文光碟正式版 (開放型仿真工具)
語系版本:英文版
光碟片數:單片裝
破解說明:
系統支援:WIN 9x/WIN ME/WIN NT/WIN 2000/WIN XP/WIN 2003
軟體類型:開放型仿真工具
硬體需求:PC
更新日期:2005/4/17
官方網站:
中文網站:
軟體簡介:
銷售價格:80
-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=




破解說明:安裝前請先閱讀crack資料夾內readme.txt文件檔,即可完成安裝。



軟體簡介:

Aldec Active HDL v6.3 英文光碟正式版 (開放型仿真工具)


內容說明:

ALDEC公司的Active-HDL是一個開放型的仿真工具。 可支援幾乎所有的FPGA/CPLD廠商的
產品,設計輸入可以原理圖或硬體描述語言或有限狀態機 方式,設計門數大於10萬門以
上,集成系統環境,多層設計輸入方式,易學易用。同時支持支持VHDL, Verilog, 
SystemC\x99,EDIF。提供了HDL設計從設計輸入到器件實現一系列流程集中化管理。


Active-HDL offers mixed VHDL, Verilog, SystemC\x99 and EDIF design entry,    
simulation and debugging from a single, integrated environment. Multiple FPGA
vendors (and their synthesis and place-and-route tools) are supported and can
be managed with ease from one flow throughout the whole project.             
Unpack and look into /crack folder for install info.



-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=